aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue143/universal_conversion_tb.vhd
blob: ba8915f4edde318fd37822b4e876470645fef87f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
use std.textio.all;

library ieee;
use ieee.std_logic_1164.all;

entity universal_conversion_tb is
end universal_conversion_tb;

architecture test of universal_conversion_tb is 

    constant TEST_VAL : time := 1000.0/100 * 1 ns;
 
begin

    process
	variable l : line;
    begin
	write(l, string'("TEST_VAL = "));
	write(l, TEST_VAL);
	writeline(output, l);
	wait;
    end process;

end;