aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1419/tb.vhdl
blob: 916010bb79b6596694496f69d26600fa1994e8fa (plain)
1
2
3
4
5
6
7
8
entity tb is
end;

use work.model_pkg.all;

architecture behav of tb is
begin
end;