aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1419/repro1.vhdl
blob: 605d5b04b761ccaaa36c8a79295e67117aaa9582 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity repro1 is
end;

architecture behav of repro1 is
    type t_record is
        record
          --srt : string (1 downto 1); -- works
            srt : string;
            num : positive;
        end record;

    type t_record_array is array (natural range <>) of t_record;

    constant k : t_record_array := (("a", 1), ("b", 2));
begin
end;