aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue140/repro.vhdl
blob: 7941245724a32983ac7235e704ad4d53953ef39a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity tb is
end tb;

architecture behav of tb is
begin
  p : process
    variable v : natural;
  begin
    v := 5;
    v: null;
  end process;
end behav;