aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue14/repro.vhdl
blob: 4dc747f1e866a20759175fecf10c2df4c0ee330b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity repro is
end repro;

architecture behav of repro is
begin
  process
    variable z : std_ulogic_vector (1 to 2) := "00";
    variable r : std_ulogic;
  begin
    r := z ?= "LL";
    assert r = '1';
    z := "--";
    r := z ?= "00";  -- One warning
    r := z ?= "--";  -- Two warning
    wait;
  end process;
end behav;