blob: 88e2ed6292fc39399cdd54e9b5bea6670e72d242 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity tb_issue is
end entity tb_issue;
architecture dataflow of tb_issue is
type arec is record
member : std_logic_vector(31 downto 0);
end record arec;
signal a : arec;
begin
process
begin
a.member <= (0 => '1', others => '0');
end process;
end architecture dataflow;
|