aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1381/repro3.vhdl
blob: 4ff3449ff4cd3ef8c27fb7147d997c2b78aea8a8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity repro3 is
  port (n : out natural);
end;

architecture behav of repro3 is
  impure function f(a : bit_vector) return bit_vector
  is
    subtype st is natural range 1 to n;
  begin
    return a;
  end f;
begin
  assert f("01") = "01";
end behav;