aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1379/bar.vhdl
blob: 391870d3bbd6a535b16eee6cbf21ed78b49a2699 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
library ieee;
use ieee.std_logic_1164.all;

entity foo is
  generic (
    LENGTH : natural
    );
  port (
    input : in std_logic_vector(LENGTH - 1 downto 0)
    );
end foo;

architecture behave of foo is
begin
end behave;

library ieee;
use ieee.std_logic_1164.all;

entity bar is
end entity bar;

architecture behave of bar is
  component foo is
  port (
    input : in std_logic_vector(7 downto 0)
    );
  end component;

begin

  my_foo : foo
    port map (
      input => (others => '0')
      );
end behave;