aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1274/repro4.vhdl
blob: 2fbe2cd164be4a493c0875571940057ba9d821b7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity repro4 is
end ;

architecture beh of repro4 is
  type str_acc is access string;
  type bv_acc is access bit_Vector;

  function f return str_acc is
  begin
    return new String'("abc");
  end f;

  function f return bv_acc is
  begin
    return new bit_vector'("001");
  end f;
  
begin
  process
    variable foo, bar : std_logic;
  begin
    f.all := "010";
    wait;
  end process;
end architecture;