aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1274/repro2.vhdl
blob: d3b1655cfe0f72fdef08f8718b697fced4b8cef8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity repro2 is
end ;

architecture beh of repro2 is
begin
  process
    variable foo, bar : std_logic;
  begin
    (foo, bar) := "10" + "01"; -- crashes
    wait;
  end process;
end architecture;