aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue125/bug.vhdl
blob: 9249d97255149577ef8da5f11d0e92ab9a553c1e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;
entity ent is end entity;
architecture a of ent is
begin
  process is
    alias logic is std_ulogic;
    function fun return string is
        variable v : std_ulogic_vector(0 to 3);
    begin
        if ( v = x"7" ) then return "was 7";
        else return "not 7"; end if;
    end function;
  begin
    report "yo: " & fun; wait;
  end process;
end architecture;