aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1229/tb.vhdl
blob: efe510017dd7f657853b1ba10a94dbc6fdd5cc31 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity tb is
end entity tb;

architecture RTL of tb is
  package tbpkg is new work.pkg generic map (N => 3);
begin
  process
    use tbpkg.all;
    variable int : integer := c_int;
  begin
    report tbpkg.c_int'foreign;

    showPackageN;
    report "C Int: " & integer'image(int);
    wait;
  end process;
end architecture RTL;