blob: a515dd1de4eafa7f228a03d384240740df5063bf (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
|
library std;
use std.textio.all;
entity count is
end entity count;
architecture tb of count is
begin
p_test : process is
variable v_line : line;
variable nlines : natural := 0;
begin
while not endfile(input) loop
readline(input, v_line);
nlines := nlines + 1;
end loop;
report natural'image (nlines);
wait;
end process;
end architecture;
|