aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1131/dut.vhdl
blob: 0bbfa9d677416b3a3dad8a4669dcdeeec9bf76ac (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library IEEE;
use IEEE.Std_Logic_1164.all;

entity DUT is
	generic (
		BUS_WIDTH : integer := 64;
		OTHER_WIDTH : integer := 4
	);
	port (
		Clk : in std_logic;
		Reset : in std_logic
	);
end entity;

architecture Behavioural of DUT is
	type BusT is record
		A : std_logic_vector;
		F : std_logic_vector;
	end record;

	signal BusInst : BusT(
		A(BUS_WIDTH-1 downto 0),
		F(3 downto 0)
	);
begin

end architecture;