aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue107/test1.vhdl
blob: 4e3e7417443d8bcdf904ea16eca8029f17409c4a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
library ieee;
  use ieee.std_logic_1164.all;

entity ApbMasterBfmE is
  generic (
    G_ADDR_WIDTH  : positive := 8;  --* address bus width
    G_DATA_WIDTH  : positive := 8;  --* data bus width
    G_SLAVE_COUNT : positive := 1
  );
  port (
    PRreset_n_i   : in std_logic;
    PClk_i        : in std_logic
  );
end entity ApbMasterBfmE;


package MyTestPkg is new work.TestPkg generic map (G_TEST => 17);


architecture sim of ApbMasterBfmE is

  use work.MyTestPkg.all;

begin

  assert false report "done" severity note;

end architecture sim;