aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1067/top.vhdl
blob: 52df2196f55680076a4b9c084e002c7a3f3fb8d2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;                                             
   use ieee.std_logic_1164.all;   
   use ieee.numeric_std.all;      

entity top is
end entity ; 

architecture arch_top of top is

    type t_slv_array is array(natural range <>) of std_logic_vector;

    signal test : t_slv_array(0 to 2)(7 downto 0);

begin

end architecture arch_top;