aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1055/repro2.vhdl
blob: f7b29f8a706ca57420eac15397acccf9fe38c3c8 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity repro2 is
end;

architecture behav of repro2 is
  constant nbv : bit_vector(1 downto 0) := "01";

  type arg_t is array (natural range <>) of bit_vector;
  constant null_arg_t: arg_t(1 downto 0) := (others=>nbv);
begin
end;