aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1055/repro.vhdl
blob: dc270601d924dfed81c15e81cf95f969b79d5d7d (plain)
1
2
3
4
5
6
7
8
9
10
11
entity repro is
end;

architecture behav of repro is
  constant nbv : bit_vector(1 downto 0) := "01";

  type arg_t is array (natural range <>) of bit_vector;
  constant null_arg_t: arg_t(0 downto 1) := (others=>null_arr_t);
begin
end;