aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1015/std.vhdl
blob: 2f470716dd50dde644a726c551c00da094c35eea (plain)
1
package std is end;