aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/deb585748/585748_deb.vhd
blob: 9098e8d61e11b4eae1ff05b473f06599d7a849d0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity tb_test is end;

architecture arch_tb of tb_test is
--   signal reset_s, clk_s : std_logic;
   signal i_s : integer := -1;
--   signal j_s : integer := -2;
   -- Here, as it should, an error will be raised during compilation
--   signal u_s : unsigned(7 downto 0) := to_unsigned(-1, 8);
   --
   signal v_s : unsigned(7 downto 0);
--   signal w_s : unsigned(7 downto 0);
begin
   -- Here, as it should, a bound check failure will be raised during simulation
--   w_s <= to_unsigned(j_s, 8);
   --
   -- Here it won't have any error during simulation, but it should
   v_s <= to_unsigned(i_s, 8);
   --
end architecture arch_tb;