aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug23482/test2.vhdl
blob: b03515a4a05ba6dca10c137769ce9347b605f9a3 (plain)
1
2
3
4
5
6
7
8
entity test2 is end entity; 

architecture arch of test2 is 
  signal b:bit; 
  -- alias bit_base is bit'base; 
  alias b_stable is b'stable; 
begin 
end architecture;