aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug22868/fails2.vhdl
blob: 57819f9cf58dd94ca6ac1b9f508ed551452f0335 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
library ieee;
use ieee.std_logic_1164.all;

entity fails2 is
	port(
		x : in std_logic;
		y : out std_logic_vector(7 downto 0);
		z : out std_logic
	);
end fails2;

architecture a of fails2 is
	component subcomponent is
		port(
			x : in std_logic;
			y : out std_logic_vector(8 downto 0)
		);
	end component;
begin

	s : subcomponent
	port map(
		x => x,
		y(cheese downto 1) => y,
		y(0) => z
	);

end a;