aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug20597/20597.vhd
blob: 3294762ba93f84ab5011ca845f1186b8b3268f99 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library ieee;
use ieee.std_logic_1164.all;

entity e is
end entity e;

architecture a of e is

signal operator_for_cmp : std_logic_vector(7 downto 0) := (others => 'X');

begin

process (operator_for_cmp) is
begin
   case operator_for_cmp is
	when "00000000" =>
		null;
	when "00000001" =>
		null;
	when "00000002" => -- Me being stupid
		null;
	when "00000003" => --Again
      when others => null;
   end case;
end process;

end architecture;