aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug19195/pkg.vhd
blob: 4418e90dc9361d36a3f681d04c97cbb3f83726e1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library IEEE; 
use IEEE.std_logic_1164.all; 
package test_pkg is 
  type a is record 
    b : std_logic_vector(3 downto 0); 
  end record a; 
  type b is record 
    a1 : a; 
  end record b; 

  signal c : b; 
  alias c0 : a is c.a1; 
end package test_pkg;