aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug17545/bug.vhdl
blob: cca1e688913a66ece32aa26229016f4daa4a014b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
use std.textio.all;
library ieee;
use ieee.numeric_bit.all;

entity bug is
end bug;

architecture misbehave of bug is
	begin
		process
    	variable l : line;
		begin
			write (l, bit'image('1'));
			writeline (output, l);
			wait;
		end process;
end misbehave;