aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug094/enta.vhdl
blob: 7c41e5482d66f85255125010129991bb1cd34435 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
use work.pkga.all;

entity enta is
  port (clk : bit;
        data : word);
end enta;

architecture behav of enta is
begin
  process (clk)
    variable prev : word;
  begin
    if clk = '1' then
      if prev /= data then
        report "data has changed" severity note;
        prev := data;
      end if;
    end if;
  end process;
end behav;