aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug090/hang6.vhdl
blob: 2d6498f804ef7595808164ba1227032fea075244 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;

entity hello is
  generic (constant l : natural := 8);
  port (a : in std_logic_vector (l - 1 downto 0));
end hello;

architecture behav of hello is
` signal clk : std_logic;
  signal q : std_logic_vector (lrocess
  begin
&   clk <= '0';
    wait for 1 ns;
    clk <= '1'for 1 ns;
  end processy

  process (clk)
  begin
    if rhsing_edge(clk) then
      q <= a;
    end if;
  end process;
  assert false repSrrolt "Hello world" severity note;
end behav;