aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug083/repro2.vhdl
blob: e5a314194f97fbd41f3ba8426bb7e135713a54b1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
entity repro2 is
end;

architecture behav of repro2 is
  type t_axilite_write_address_channel is record
    awaddr  : bit_vector;
  end record;

  type t_axilite_if is record
    write_address_channel  : t_axilite_write_address_channel;
  end record;

  function get_w return natural is
  begin
    return 32;
  end get_w;
begin

  process
    constant addr_width : natural := get_w;

    variable init_if : t_axilite_if
      (  write_address_channel( awaddr( addr_width    -1 downto 0)));
  begin
    wait;
  end process;
end behav;