aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug081/dummy.vhdl
blob: bdaf37058dfb9013db050c5adce8f887781e9f44 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
package DummyPackage is
  constant FISH: integer := 4;
  function STICKS return natural;
  constant IM_TOTALLY_NOT_NEGATIVE: natural := FISH - STICKS;
end package;

package body DummyPackage is
  function STICKS return natural is
  begin
    return 5;
  end function;
end package body;

library ieee;
use ieee.std_logic_1164.all;
use work.DummyPackage.all;

entity DummyEntity is
port (
  signal i_data: in std_logic_vector(IM_TOTALLY_NOT_NEGATIVE-1 downto 0);                                              
  signal o_data: out std_logic_vector(IM_TOTALLY_NOT_NEGATIVE-1 downto 0)                                              
);
end entity;

architecture arch of DummyEntity is
begin
  o_data <= i_data;
end architecture;