aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug062/ex.vhdl
blob: 9a63931f21c4e89ae55ef160de32d2cfb95956a9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity ex is
  port (clk, en : std_ulogic;
        r1: std_ulogic;
        r0: out std_ulogic);
end ex;

architecture behav of ex is
begin
  process(clk)
  begin
    if rising_edge(clk) then
    if en = '1' then
            r0 <= r1;
        end if;
    end if;
  end process;
end behav;