aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug053/tb2.vhdl
blob: efda786095339fb491f4a68e883f5032500a5fd3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
package pkg2 is
  package pkg1 is
    constant c : natural := 5;
--    function f return natural;
  end pkg1;
end pkg2;

entity tb2 is
end tb2;

use work.pkg2.all;

architecture behav of tb2 is
begin
  assert pkg1.c = 5 severity failure;
  assert pkg1.c /= 5 report "value is correct" severity note;
end behav;