aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug052/tb_simple1.vhdl
blob: 636f6280214a499564f40eb2414da727a1e40310 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity tb_simple1 is
  generic (v : natural := 4);
  package pkg1 is
    constant c : natural := v + 1;
  end pkg1;
end tb_simple1;

architecture behav of tb_simple1 is
begin
  assert pkg1.c = 5 severity failure;
  assert pkg1.c /= 5 report "value is correct" severity note;
end behav;