aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug026/xb.vhd
blob: 53877a640cec978cc383bcfb8dcb8903e727ed3f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
package body dosomething is

  procedure dosomething_c_hello (
    constant r            : in    dosomething_t);

  attribute foreign of dosomething_c_hello : procedure is "VHPIDIRECT dosomething_c_hello";

  procedure dosomething_c_hello (
    constant r            : in    dosomething_t) is
  begin
    assert false severity failure;
  end dosomething_c_hello;

  procedure dosomething_hello (
    variable r : inout dosomething_t) is
  begin
    dosomething_c_hello(r);
  end dosomething_hello;

end dosomething;