aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug021/sim_pkg.vhd
blob: 00df0eeb678322b0b3af288d88e9fea50c131883 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;


package sim_pkg is



  procedure house ( reg : in integer );
  attribute foreign of house :
    procedure is "VHPIDIRECT house"; 


  procedure street ( reg : in integer );
  attribute foreign of street :
    procedure is "VHPIDIRECT street";   
end;


package body sim_pkg is


  procedure house (reg : in integer)  is
  begin
    assert false report "VHPI" severity failure;
  end house;

  procedure street (reg : in integer)  is
  begin
    assert false report "VHPI" severity failure;
  end street;
    
end sim_pkg;