aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug017/if1.vhdl
blob: 57554c7945e6fb2583df8c5bcaaad5e70eff46f6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
entity if1 is
end;

architecture behav of if1 is
begin
  process
    variable i : natural := 0;
  begin
    report "hello";
    loop
      if i = 10 then
        exit;
      else
        report "hello2";
      end if;
      i := i + 1;
    end loop;
    report "SUCCESS";
    wait;
  end process;
 
end behav;