aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug017/call6b.vhdl
blob: ed51e6e7d12153c51f12b078060469d03149da57 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
entity call6b is
end;

architecture behav of call6b is
  type my_rec is record
    a, b, c : character;
  end record;
  
  procedure check (s : my_rec) is
  begin
    wait for 1 ns;
    assert s.b = 'a';
  end;
begin
  process
    variable c : character := 'a';
  begin
    check ((a => 'e', b => 'a', c => 'c'));
    report "SUCCESS";
    wait;
  end process;
 
end behav;