aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug017/call3.vhdl
blob: 43d5b0b29fa51064ebf7bfcbb1e2b93b24ede305 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
entity call3 is
end;

architecture behav of call3 is
  procedure p2 (n : natural) is
  begin
    for i in 1 to n loop
      report "hello 2";
      wait for 1 ns;
    end loop;
  end p2;

  procedure p (n : natural) is
  begin
    for i in 1 to n loop
      p2 (i);
      report "hello 1";
      wait for 1 ns;
    end loop;
  end p;
begin
  process
  begin
    p (5);
    report "SUCCESS";
    wait;
  end process;
 
end behav;