aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug017/call1.vhdl
blob: ba6881486e92c71e2a0d6e8cfefde7a9825833e0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity call1 is
end;

architecture behav of call1 is
  procedure p is
  begin
    for i in 1 to 10 loop
      report "hello";
      wait for 1 ns;
    end loop;
  end p;
begin
  process
  begin
    p;
    report "SUCCESS";
    wait;
  end process;
 
end behav;