aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0133/e.vhdl
blob: 620efcbe2e3c8dbc6cf263235984062028a72d8f (plain)
1
2
3
4
5
6
entity e is end;

architecture a of e is
begin
end;