aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0128/test3.vhdl
blob: a7d165dfd3967a7d096d08667e28eb34903fa503 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
use std.textio.all;

entity test2 is
end entity;

architecture beh of test2 is
    
    procedure fun1(
        variable x: inout line
    ) is
    begin
    
    end procedure;
    
    procedure fun2(
        variable x: in string
    ) is
    begin
        report x severity note;
    end procedure;
            
begin
    
    process
        variable x :line;
    begin
        --doing something with x... or leave null....
        fun1(x);
        
        --How to check if x is null so that i can put an if statement around this?
        fun2(x.all);
    
    end process;

end architecture;