aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0126/repro.vhdl
blob: eeb7708974314f59948bacde8d7ef5cc94c7e1f4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
entity repro is
end repro;

architecture behav of repro is
  subtype data_word_t is bit_vector (31 downto 0);

  function f1 (data : data_word_t) return bit;

  function f1 (data : bit_vector(31 downto 0)) return bit is
  begin
    return '0';
  end f1;

begin
end  behav;