aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0125/tb.vhdl
blob: caa3ac0d4c163703ee426411ab199fe14a3c006d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
entity tb is
end;

use work.repro.all;

architecture behav of tb
is
begin
  process
    variable sum : natural;
  begin
    sum := 0;

    for i in cst'range(1) loop
      for j in cst'range(2) loop
        sum := (sum + cst(i, j)) mod 4096;
      end loop;
    end loop;

    report "sum: " & natural'image(sum);
    wait;
  end process;
end behav;