aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0124/repro.vhdl
blob: 179535cd4609469e683f53c80ba0dc0f063dd94d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity repro1 is
  generic (type t);
end repro1;

architecture behav of repro1 is
begin
end behav;

entity repro is
  generic (type t);
end repro;

architecture behav of repro is
begin
  inst: entity work.repro1
    generic map (t => t);
end behav;