aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0123/repro.vhdl
blob: a611f03a7c2a411d3b18a97107ffdcc796df8932 (plain)
1
2
3
4
5
6
7
8
9
10
entity repro is
end;

architecture behav of repro is
  type mem_t is array (natural range <>) of bit_vector (3 downto 0);
  constant cst : mem_t := (1 => "0000",
                           2 => "0001",
                           3 => "00" & "01");
begin
end behav;