aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0108/ent.vhdl
blob: 6a45e826c70404d4cc26c777d134a70e34deade9 (plain)
1
2
3
4
5
6
7
entity name1 is
end name1;

architecture behav of name1 is
begin
  assert false report "hello" severity note;
end behav;