aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0100/bitstr.vhdl
blob: e256db15999286b72d0730689408746264412625 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity bitstr is
  port (a : bit_vector (7 downto 0);
        o : out bit);
end bitstr;

architecture behav of bitstr is
begin
  process (a)
  begin
    if a = bx"00" then
     o <= '1';
    else
     o <= '0';
    end if;
  end process;
end behav;