aboutsummaryrefslogtreecommitdiffstats
path: root/pyGHDL/dom/InterfaceItem.py
blob: f720e69b493c7345c796a3cda2e217caccf1929d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
# =============================================================================
#               ____ _   _ ____  _          _
#  _ __  _   _ / ___| | | |  _ \| |      __| | ___  _ __ ___
# | '_ \| | | | |  _| |_| | | | | |     / _` |/ _ \| '_ ` _ \
# | |_) | |_| | |_| |  _  | |_| | |___ | (_| | (_) | | | | | |
# | .__/ \__, |\____|_| |_|____/|_____(_)__,_|\___/|_| |_| |_|
# |_|    |___/
# =============================================================================
# Authors:
#   Patrick Lehmann
#
# Package module:   DOM: Interface items (e.g. generic or port)
#
# License:
# ============================================================================
#  Copyright (C) 2019-2021 Tristan Gingold
#
#  This program is free software: you can redistribute it and/or modify
#  it under the terms of the GNU General Public License as published by
#  the Free Software Foundation, either version 2 of the License, or
#  (at your option) any later version.
#
#  This program is distributed in the hope that it will be useful,
#  but WITHOUT ANY WARRANTY; without even the implied warranty of
#  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
#  GNU General Public License for more details.
#
#  You should have received a copy of the GNU General Public License
#  along with this program.  If not, see <gnu.org/licenses>.
#
# SPDX-License-Identifier: GPL-2.0-or-later
# ============================================================================
from pydecor import export

from pyVHDLModel.VHDLModel import (
    GenericConstantInterfaceItem as VHDLModel_GenericConstantInterfaceItem,
    PortSignalInterfaceItem as VHDLModel_PortSignalInterfaceItem,
    ParameterConstantInterfaceItem as VHDLModel_ParameterConstantInterfaceItem,
    ParameterVariableInterfaceItem as VHDLModel_ParameterVariableInterfaceItem,
    ParameterSignalInterfaceItem as VHDLModel_ParameterSignalInterfaceItem,
    Mode,
    SubTypeOrSymbol,
    Expression,
)

from pyGHDL.libghdl.vhdl import nodes
from pyGHDL.libghdl.vhdl.nodes import Null_Iir
from pyGHDL.dom._Utils import GetNameOfNode, GetModeOfNode
from pyGHDL.dom._Translate import GetSubTypeIndicationFromNode, GetExpressionFromNode


__all__ = []


@export
class GenericConstantInterfaceItem(VHDLModel_GenericConstantInterfaceItem):
    @classmethod
    def parse(cls, generic):
        name = GetNameOfNode(generic)
        mode = GetModeOfNode(generic)
        subTypeIndication = GetSubTypeIndicationFromNode(generic, "generic", name)
        default = nodes.Get_Default_Value(generic)
        value = GetExpressionFromNode(default) if default else None

        return cls(name, mode, subTypeIndication, value)

    def __init__(
        self,
        name: str,
        mode: Mode,
        subType: SubTypeOrSymbol,
        defaultExpression: Expression,
    ):
        super().__init__(name=name, mode=mode)
        self._subType = subType
        self._defaultExpression = defaultExpression


@export
class PortSignalInterfaceItem(VHDLModel_PortSignalInterfaceItem):
    @classmethod
    def parse(cls, port):
        name = GetNameOfNode(port)
        mode = GetModeOfNode(port)
        subTypeIndication = GetSubTypeIndicationFromNode(port, "port", name)

        defaultValue = nodes.Get_Default_Value(port)
        value = (
            GetExpressionFromNode(defaultValue) if defaultValue != Null_Iir else None
        )

        return cls(name, mode, subTypeIndication, value)

    def __init__(
        self,
        name: str,
        mode: Mode,
        subType: SubTypeOrSymbol,
        defaultExpression: Expression = None,
    ):
        super().__init__(name=name, mode=mode)
        self._subType = subType
        self._defaultExpression = defaultExpression


@export
class ParameterConstantInterfaceItem(VHDLModel_ParameterConstantInterfaceItem):
    @classmethod
    def parse(cls, parameter):
        name = GetNameOfNode(parameter)
        mode = GetModeOfNode(parameter)
        subTypeIndication = GetSubTypeIndicationFromNode(parameter, "parameter", name)

        defaultValue = nodes.Get_Default_Value(parameter)
        value = (
            GetExpressionFromNode(defaultValue) if defaultValue != Null_Iir else None
        )

        return cls(name, mode, subTypeIndication, value)

    def __init__(
        self,
        name: str,
        mode: Mode,
        subType: SubTypeOrSymbol,
        defaultExpression: Expression = None,
    ):
        super().__init__(name=name, mode=mode)
        self._subType = subType
        self._defaultExpression = defaultExpression


@export
class ParameterVariableInterfaceItem(VHDLModel_ParameterVariableInterfaceItem):
    @classmethod
    def parse(cls, parameter):
        name = GetNameOfNode(parameter)
        mode = GetModeOfNode(parameter)
        subTypeIndication = GetSubTypeIndicationFromNode(parameter, "parameter", name)

        defaultValue = nodes.Get_Default_Value(parameter)
        value = (
            GetExpressionFromNode(defaultValue) if defaultValue != Null_Iir else None
        )

        return cls(name, mode, subTypeIndication, value)

    def __init__(
        self,
        name: str,
        mode: Mode,
        subType: SubTypeOrSymbol,
        defaultExpression: Expression = None,
    ):
        super().__init__(name=name, mode=mode)
        self._subType = subType
        self._defaultExpression = defaultExpression


@export
class ParameterSignalInterfaceItem(VHDLModel_ParameterSignalInterfaceItem):
    @classmethod
    def parse(cls, parameter):
        name = GetNameOfNode(parameter)
        mode = GetModeOfNode(parameter)
        subTypeIndication = GetSubTypeIndicationFromNode(parameter, "parameter", name)

        defaultValue = nodes.Get_Default_Value(parameter)
        value = (
            GetExpressionFromNode(defaultValue) if defaultValue != Null_Iir else None
        )

        return cls(name, mode, subTypeIndication, value)

    def __init__(
        self,
        name: str,
        mode: Mode,
        subType: SubTypeOrSymbol,
        defaultExpression: Expression = None,
    ):
        super().__init__(name=name, mode=mode)
        self._subType = subType
        self._defaultExpression = defaultExpression