aboutsummaryrefslogtreecommitdiffstats
path: root/pyGHDL/dom/DesignUnit.py
blob: 62a3af98171fe3ede1f68f981f2aefbc23201b70 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
# =============================================================================
#                ____ _   _ ____  _          _
#   _ __  _   _ / ___| | | |  _ \| |      __| | ___  _ __ ___
#  | '_ \| | | | |  _| |_| | | | | |     / _` |/ _ \| '_ ` _ \
#  | |_) | |_| | |_| |  _  | |_| | |___ | (_| | (_) | | | | | |
#  | .__/ \__, |\____|_| |_|____/|_____(_)__,_|\___/|_| |_| |_|
#  |_|    |___/
# =============================================================================
# Authors:          Patrick Lehmann
#
# Package module:   DOM: VHDL design units (e.g. entity or package).
#
# License:
# ============================================================================
# Copyright (C) 2019-2020 Tristan Gingold
#
#	GHDL is free software; you can redistribute it and/or modify it under
#	the terms of the GNU General Public License as published by the Free
#	Software Foundation; either version 2, or (at your option) any later
#	version.
#
#	GHDL is distributed in the hope that it will be useful, but WITHOUT ANY
#	WARRANTY; without even the implied warranty of MERCHANTABILITY or
#	FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
#	for more details.
#
#	You should have received a copy of the GNU General Public License
#	along with GHDL; see the file COPYING.  If not, write to the Free
#	Software Foundation, 59 Temple Place - Suite 330, Boston, MA
#	02111-1307, USA.
#
# SPDX-License-Identifier: GPL-2.0-or-later
# ============================================================================
#
from pydecor import export

from pyVHDLModel.VHDLModel import Entity        as VHDLModel_Entity
from pyVHDLModel.VHDLModel import Architecture  as VHDLModel_Architecture
from pyVHDLModel.VHDLModel import Package       as VHDLModel_Package
from pyVHDLModel.VHDLModel import PackageBody   as VHDLModel_PackageBody
from pyVHDLModel.VHDLModel import Context       as VHDLModel_Context
from pyVHDLModel.VHDLModel import Configuration as VHDLModel_Configuration

from pyGHDL.libghdl.vhdl   import nodes
import pyGHDL.libghdl.utils as pyutils

from pyGHDL.dom.Common     import GHDLMixin

__all__ = []
__api__ = __all__

from pyGHDL.dom.InterfaceItem import GenericConstantInterfaceItem, PortSignalInterfaceItem


@export
class Entity(VHDLModel_Entity, GHDLMixin):

	@classmethod
	def parse(cls, libraryUnit):
		name = cls._ghdlNodeToName(libraryUnit)
		entity = cls(name)

		cls.__parseGenerics(libraryUnit, entity)
		cls.__parsePorts(libraryUnit, entity)

		return entity

	@classmethod
	def __ghdlGetGenerics(cls, entity):
		return pyutils.chain_iter(nodes.Get_Generic_Chain(entity))

	@classmethod
	def __ghdlGetPorts(cls, entity):
		return pyutils.chain_iter(nodes.Get_Port_Chain(entity))

	@classmethod
	def __parseGenerics(cls, libraryUnit, entity):
		for generic in cls.__ghdlGetGenerics(libraryUnit):
			genericConstant = GenericConstantInterfaceItem.parse(generic)
			entity.GenericItems.append(genericConstant)

	@classmethod
	def __parsePorts(cls, libraryUnit, entity):
		for port in cls.__ghdlGetPorts(libraryUnit):
			signalPort = PortSignalInterfaceItem.parse(port)
			entity.PortItems.append(signalPort)

@export
class Architecture(VHDLModel_Architecture, GHDLMixin):
	def __init__(self, name: str, entityName: str):
		super().__init__(name)

		self.__entityName = entityName

	@classmethod
	def parse(cls, libraryUnit):
		name = cls._ghdlNodeToName(libraryUnit)
		entityName = cls._ghdlNodeToName(nodes.Get_Entity_Name(libraryUnit))

		return cls(name, entityName)

	def resolve(self):
		pass

@export
class Package(VHDLModel_Package, GHDLMixin):

	@classmethod
	def parse(cls, libraryUnit):
		name = cls._ghdlNodeToName(libraryUnit)
		return cls(name)

@export
class PackageBody(VHDLModel_PackageBody, GHDLMixin):

	@classmethod
	def parse(cls, libraryUnit):
		name = cls._ghdlNodeToName(libraryUnit)
		return cls(name)

@export
class Context(VHDLModel_Context, GHDLMixin):

	@classmethod
	def parse(cls, libraryUnit):
		name = cls._ghdlNodeToName(libraryUnit)
		return cls(name)

@export
class Configuration(VHDLModel_Configuration, GHDLMixin):

	@classmethod
	def parse(cls, libraryUnit):
		name = cls._ghdlNodeToName(libraryUnit)
		return cls(name)