aboutsummaryrefslogtreecommitdiffstats
path: root/libraries/openieee/math_real-body.vhdl
blob: fa21d9a4fe85ab90c9b6d4b3ddf385f409103788 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
--  This -*- vhdl -*- file is part of GHDL.
--  IEEE 1076.2 math_real package body.
--  Copyright (C) 2015-2021 Tristan Gingold
--
--  This program is free software: you can redistribute it and/or modify
--  it under the terms of the GNU General Public License as published by
--  the Free Software Foundation, either version 2 of the License, or
--  (at your option) any later version.
--
--  This program is distributed in the hope that it will be useful,
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
--  GNU General Public License for more details.
--
--  You should have received a copy of the GNU General Public License
--  along with this program.  If not, see <gnu.org/licenses>.

package body MATH_REAL is
  function SIGN (X : REAL) return REAL is
  begin
    if X > 0.0 then
      return 1.0;
    elsif X < 0.0 then
      return -1.0;
    else
      return 0.0;
    end if;
  end SIGN;

  function CEIL (X : REAL) return REAL is
  begin
    assert false severity failure;
  end CEIL;

  function FLOOR (X : REAL) return REAL is
  begin
    assert false severity failure;
  end FLOOR;

  function ROUND (X : REAL) return REAL is
  begin
    assert false severity failure;
  end ROUND;

  function TRUNC (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function fmod (X, Y : REAL) return REAL;
  attribute foreign of fmod : function is "VHPIDIRECT fmod";

  function fmod (X, Y : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function "mod" (X, Y : REAL) return REAL
  is
    variable res : real;
  begin
    assert y /= 0.0 report "ieee.math_real.""mod"": dividend is 0.0"
      severity failure;
    res := fmod (x, y);
    if res /= 0.0 then
      if x > 0.0 xor y > 0.0 then
        res := res + y;
      end if;
    end if;
    return res;
  end "mod";

  function REALMAX (X, Y : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function REALMIN (X, Y : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  procedure UNIFORM (SEED1, SEED2 : inout POSITIVE; X : out REAL)
  is
    variable z, k : Integer;
    variable s1, s2 : Integer;
  begin
    k := seed1 / 53668;
    s1 := 40014 * (seed1 - k * 53668) - k * 12211;
    if s1 < 0 then
      seed1 := s1 + 2147483563;
    else
      seed1 := s1;
    end if;

    k := seed2 / 52774;
    s2 := 40692 * (seed2 - k * 52774) - k * 3791;
    if s2 < 0 then
      seed2 := s2 + 2147483399;
    else
      seed2 := s2;
    end if;

    z := seed1 - seed2;
    if z < 1 then
      z := z + 2147483562;
    end if;

    x := real (z) * 4.656613e-10;
  end UNIFORM;

  function SQRT (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function CBRT (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function "**" (X : INTEGER; Y : REAL) return REAL is
  begin
    return real (x) ** y;
  end "**";

  function "**" (X : REAL; Y : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function EXP (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function LOG (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function LOG2 (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function LOG10 (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function LOG (X : REAL; BASE : REAL) return REAL is
  begin
    return log (x) / log (base);
  end log;

  function SIN (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function COS (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function TAN (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function ARCSIN (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function ARCCOS (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function ARCTAN (Y : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function ARCTAN (Y, X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function SINH (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function COSH (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function TANH (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function ARCSINH (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function ARCCOSH (X : REAL) return REAL is
  begin
    assert false severity failure;
  end;

  function ARCTANH (Y : REAL) return REAL is
  begin
    assert false severity failure;
  end;

end MATH_REAL;