aboutsummaryrefslogtreecommitdiffstats
path: root/doc/index.rst
blob: b1bd73c236910609bec6237130ab57bede6cbd90 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
.. only:: html

   .. exec::
      from helpers import createShields
      createShields()

   |SHIELD:gh-logo| |SHIELD:gitter| |SHIELD:code-lic| |SHIELD:doc-lic| |SHIELD:travis-ci| |SHIELD:appveyor| |SHIELD:release|

------------------------------------

GHDL Documentation
##################

This manual is the user and reference manual for GHDL. It does not contain an
introduction to VHDL. Thus, the reader should have at least a basic knowledge
of VHDL. A good knowledge of VHDL language reference manual (usually called
LRM) is a plus.

.. only:: html

   News
   ****

   03.03.2019 - GHDL v0.36 was released.
   =========================================

   23.02.2019 - GHDL v0.36-rc1 was released.
   =========================================

   The major improvements are:

      * more support of unbounded arrays and records
      * support of UVVM and Vunit

   29.11.2018 - GHDL 20181129 was released.
   ========================================

   20.12.2017 - A new GitHub organization was created.
   ===================================================

   A new GitHub organization is created and the main repo is moved from `github.com/tgingold/ghdl <https://github.com/tgingold/ghdl>`_ to
   `github.com/ghdl/ghdl <https://github.com/ghdl/ghdl>`_. Old refs will continue working, because permanent redirects are set up. However, we suggest
   every contributor to update the remote URLs in their local clones.

   14.12.2017 - `GHDL 0.35 was released <https://github.com/ghdl/ghdl/milestone/3?closed=1>`_.
   ===========================================================================================

   15.08.2017 - `GHDL 0.34 was released <https://github.com/ghdl/ghdl/milestone/1?closed=1>`_.
   ===========================================================================================

   23.10.2015 - GHDL 0.33 was released.
   ====================================

.. only:: latex

   .. rubric:: 03.03.2019 - GHDL v0.36 was released.

   .. rubric:: 23.02.2019 - GHDL v0.36-rc1 was released.

   .. rubric:: 29.11.2018 - GHDL 20181129 was released.

   .. rubric:: 20.12.2017 - A new GitHub organization was created.

   .. rubric:: 14.12.2017 - GHDL 0.35 was released.

   .. rubric:: 15.08.2017 - GHDL 0.34 was released.

   .. rubric:: 23.10.2015 - GHDL 0.33 was released.

.. |docdate| date:: %b %d, %Y - %H:%M

.. container:: lastdocbuilddate

   This document was generated on |docdate|.

.. toctree::
   :caption: Introduction
   :hidden:

   about
   contribute
   licenses

.. raw:: latex

   \part{GHDL usage}

.. toctree::
   :caption: GHDL usage
   :hidden:

   using/QuickStartGuide
   using/InvokingGHDL
   using/Simulation
   using/Foreign

.. raw:: latex

   \part{Getting GHDL}

.. toctree::
   :caption: Getting GHDL
   :hidden:

   getting/Releases
   Building GHDL <getting/index>
   getting/PrecompileVendorPrimitives

.. raw:: latex

   \part{References}

.. toctree::
   :caption: Implementation References
   :hidden:

   references/CommandReference
   references/CodingStyle
   references/ImplementationOfVHDL
   references/ImplementationOfVITAL

.. raw:: latex

   \part{Appendix}

.. toctree::
   :caption: Appendix
   :hidden:

   appendix/Roadmap
   appendix/Meta
   genindex